Simple test of UART on FPGAs
Go to file
2022-05-03 13:37:22 -04:00
build Add UART test code 2022-05-02 10:26:36 -04:00
io.pcf Add UART test code 2022-05-02 10:26:36 -04:00
io.pdc Add Nexus support 2022-05-03 13:37:22 -04:00
Makefile Add Nexus support 2022-05-03 13:37:22 -04:00
README.md Initial commit 2022-05-02 14:03:09 +00:00
top.v Add Nexus support 2022-05-03 13:37:22 -04:00

UART Test

Simple test of UART on FPGAs